2011年8月30日 星期二

Sized number @ verilog


• size (optional) is the number of bits in the number. Unsized integers default to
at least 32-bits.
• ’base represents the radix and sign property of the value. The base and sign
characters are not case sensitive (e.g. ’b and ’B are equivalent).

 


宣告數字的格式為: '


size : 以十進位來表示bit數


base format :


number : d,D  (十進位)  h,H (十六進位)  o,O (八進位)


4'b1111 : 4bits 二進位數字


12'habc : 12bits 十六進位數字


16'd255 : 16bits 十進位數字


 


不規定長度的數字  不使用 去規定數字位元大小  內定為32bits


23456 : 32bits 十進位數字


'hc3 : 32bits 十六進位數字


'o21 : 32bits 八進位數字



 


沒有留言:

張貼留言

標籤